芯片采购,IC采购,芯片采购平台
芯片
每日新闻头条
东吴证券:2022年芯片EDA行业研究报告
(2024年6月29日更新)

数模混合 IC 通常,模拟电路是控制模拟电路实现特定算法的核心。 IC 设计部分,EDA 软件主要有模拟 IC 和数字 IC 两类设计软件。

芯片采购网专注于整合国内外授权IC代理商现货资源,芯片库存实时查询,行业价格合理,采购方便IC芯片,国内专业芯片采购平台

1.EDA半导体皇冠上的明珠

1.1. EDA 是用于 IC 工业软件的设计和生产

EDA 工业软件用于辅助大规模集成电路的设计和生产。EDA 全称是电子设计自动化(Electronic Design Automation),是指用于辅助设计、制造、包装和测试超大型集成电路芯片的整个过程的计算机软件。随着芯片设计复杂性的不断提高,基于先进工艺节点的集成电路规模可以达到数十亿个半导体设备,不需要帮助 EDA 芯片设计无法完成。EDA 与产业链的紧密结合已成为提高设计效率、加快技术进步的关键驱动力。

EDA 集成电路集成电路的各个方面。在设计和生产过程中,EDA 应用于芯片系统的整个设计、制造、包装和测试过程,包括芯片设计公司和晶圆厂的设计软件。从电子系统层面来看,EDA 包括芯片、多芯片模块和印刷电路(PCB)多层板。

EDA 杠杆效应和经济效应显著。根据 ESD Alliance 和 WSTS 数据,2020 年全球 EDA 市场规模仅为 115 亿美元,却撬动着 4404 半导体行业市场规模1亿美元。一旦 EDA 产业链基础出现问题,整个集成电路产业将受到重大影响,EDA 行业也是 最容易被外国卡脖子的关键领域。此外,EDA 节约芯片设计成本起着重要作用 的作用。根据加州大学圣迭戈分校 Andrew Kahng 教授在 2013 年的推测,2011 年设计 消费级应用处理器芯片的成本约 4,000 如果不考虑一万美元 1993 年至 2009 年的 EDA 技术进步,相关设计成本可能高达 77 亿美元,EDA 技术进步提高了设计效率 200 倍。以新思科技(Synopsys)2021 年 8 月推出的 EDA 设计平台 DSO.ai 例如,通过引入人工智能,芯片设计不需要完全模拟无数可能的布局,芯片设计可以在研究中进行 研发成本减半,研发时间甚至可以从 24 个月减少到 2 周。

1.2. EDA 的分类

针对不同类型的芯片,EDA 有不同的工具。集成电路芯片(Integrated Circuit Chip, 简称 IC)从结构上可以分为数字 IC、模拟 IC 和数模混合 IC。数字 IC 指用于传输和添加 处理数字信号(0) 或 1 非连续信号) IC。模拟 IC 指处理自然模拟信号,如连续光、声音、速度和温度 IC。数模混合 IC 指同时包含模拟电路和数字电路的部分 IC。数模混合 IC 通常,模拟电路是控制模拟电路实现特定算法的核心。在 IC 设计部分,EDA 软件主要有模拟 IC 和数字 IC 两类设计软件。

芯片设计分为前端设计和后端设计。根据具体的公司和产品,前端设计和后端设计没有统一严格的界限。一般来说,用设计的电路实现的想法是前端设计;制造设计的电路是后端设计。这就像建造房屋,建筑设计图属于前端设计,设计房屋的外部形状和内部结构;建筑施工图属于后端设计,详细介绍了施工步骤、方法和材料的数量和选择。

芯片设计可分为五个层次。设计类 EDA 根据设计方法的不同,工具可以根据设计层次自上而下进一步细分为行为级、系统级、RTL 等级、门级、晶体管级 EDA 工具。各层级 EDA 模拟和验证工具的精度依次提高,速度依次降低,拟实现的目标和应用场景也不同。例如,高级系统和行为模拟验证主要适用于产品设计的早期原型验证,评价产品原型的性能和功能;底部晶体管模拟验证主要决定最终产品的性能和良好率。对于大型集成电路,设计方法通常从系统和行为级设计开始,逐层设计、模拟、验证和实现,并输出可交付的晶体管级布局信息。

数字芯片和模拟芯片的设计过程非常不同。 IC 抽象级设计主要完成, 对设计师的经验要求相对较低,无需注意门/晶体管级放置和路由的细节。 IC 设计通常涉及到每个电路的个性化特性,甚至每个晶体管的尺寸和细节,设计和验证更为复杂,对设计师的经验要求更高。

芯片设计可分为全定制、半定制设计,全定制主要用于模拟芯片,半定制用于数字芯片。全定制设计是指以晶体管级为基础,手工生成所有器件和互连布局。这种设计的许多工作都是手工完成的,不方便直接利用现有电路的结果,设计周期长,成本高。全定制设计多用于模拟 IC 和数模混合 IC。半定制设计以门阵列和标准单元为基础,根据用户需要的功能连接成熟优化的单元。半定制设计成本低,周期短,芯片利用率低,适合小批量、快速生产,多用于数字 IC。

由于数字芯片是在抽象级别上完成的,并且对自动化程度有更高的要求,所以数字IC类EDA 工具的技术门槛

1.3. EDA 的历史:从 CAD 到 EDA

第一阶段:计算机辅助设计(CAD)时代。在集成电路应用的早期阶段,集成电路集成度较低,设计、布线等工作由设计师手工完成。20 世纪 70 从20世纪中期开始,随着芯片集成的提高,设计师开始尝试自动化整个设计项目,并使用计算机辅助设计 (CAD)设计晶体管级版图,PCB 布线、设计规则检查、门级电路模拟与测试等流程。

第二阶段:计算机辅助工程(CAED)时代。1980 年卡弗尔·米德和琳·康维发表 《超大规模集成电路系统导论》提出通过编程语言进行芯片设计 计算自动化发展的重要标志。EDA 在此期间,工具也开始商业化,全球化 EDA 新思科技领导厂商新思科技领导厂商(Synopsys)、楷登电子(Cadence)、西门子 EDA(2017 年收购的 MentorGraphics)分别于 1986 年、1988 年和 1981 年在美国成立。

第三阶段:电子设计自动化(EDA)时代。20 世纪 90 芯片集成度的不断提高和可编程逻辑器件的广泛应用 EDA 技术提出了更高的要求,也促进了技术 EDA 随着设计工具的普及和发展,以高级语言描述、系统级模拟和综合技术为特征 EDA 技术。

第四阶段:现代 EDA 时代。21 世纪以来,EDA 工具的快速发展贯穿了集成电路设计、制造和密封测试的所有环节。对于数亿甚至数百亿晶体管规模的芯片设计,EDA 工 它保证了各阶段和层次设计过程的准确性,降低了设计成本,缩短了设计周期,提高了设计效率,是集成电路行业产能和性能进步的源泉,EDA 工具的发展加速了集成电路产业的技术创新。同时伴随着智能手机,4G/5G、物联网等技术的发展,射频 EDA 软件迎来了发展的黄金阶段。

1.4. EDA 未来:结合先进技术

摩尔时代的技术演进驱动 EDA 扩展技术应用。后摩尔时代集成电路技术的发展方向主要包括延续摩尔定律、扩展摩尔定律和超越摩尔定律。主要发展目标包括基于摩尔定律的生产工艺特征尺寸的进一步微缩,以增加系统集成的多功能为目标的芯片功能的多样化发展,以及设备功能的集成和产品的多样化。其中,面对摩尔定律的延续,单芯片的集成规模呈爆炸性增长 EDA 对工具的设计效率提出了更高的要求。随着逻辑、模拟、存储等功能叠加到同一芯片扩展方向,EDA 工具应具有更强的支持复杂功能设计的能力。新工艺、新材料、新设备等的应用要求超越摩尔定律。 EDA 在模拟、验证等关键环节实现方法创新。

后摩尔时代的系统设计 EDA 技术变化方向。在原摩尔定律的定义下,芯片性能的提升主要来自工艺和架构,但工艺工艺的提升接近极限,摩尔定律明显放缓。在此背景下,汽车、人工智能等领域的大公司开始定制自己的新系统,并将其视为差异化竞争的关键因素。因此,对于 EDA 对于制造商来说,将定位从芯片设计转变为基于软硬件协作的系统级设计是未来的重要发展方向。

AI 促进和云技术 EDA 更加智能化和自动化。AI 智能的目标来自现有 EDA 在使用过程中,芯片架构探索、设计、布局等重复性、低创造性工作的人力比例大大降低,利用 AI 自动架构探索、设计生成和物理设计算法。随着芯片设计复杂性的提高,数据量和计算量急剧上升,云技术的使用使 EDA 该软件具有弹性计算、安全存储、快速更新等功能,以满足大数据量和计算量下的更高使用要求。

平台化和服务化。现有 EDA 是“工具和 IP 集合包有望在未来发展 EDA 平台,EDA 上下游产业链的设计、制造、测试、包装将更加方便,资源共享。同时 EDA 该平台有望链接不同设计、制造等厂家的横向链接,促进生态建设。虽然智能化不断提高,但仍需要人工支持和服务。服务平台的建设可以提供专业的咨询设计服务和相关的定制服务,以满足个性化的需求。

2.全球EDA寡头垄断市场,国EDA市场快速增长

2.1. 全球 EDA 市场稳步发展,三大巨头垄断

2020 年全球 EDA 市场规模为 115 亿美元已进入稳定发展期。根据 ESDAlliance 数据,2020 年全球 EDA 市场规模为 115 亿美元,2010-2020 年 10 年复合增长率为 8%。根据 Verified Market Research 数据,2028 年全球 EDA 预计市场规模将达到 215.6 亿美元,2020-2028 年 8 年复合增长率为 8.21%。总的来说,全球 EDA 市场增长相对稳定。

数字 IC 为 EDA 市场的主要组成部分。根据下游芯片市场情况,数字芯片占据了大部分市场份额。 WSTS 数据,2020 年数字芯片市场规模达到 3055.68 总体集成电路市场占1亿美元 84.59%。数字受下游需求影响 IC 构成了 EDA 根据市场的主要部分, ESD Alliance 数据,2019 全年数字流程 EDA 达到业务规模 36.04 1亿美元占整体市场 52.8%。

全球 EDA 根据业务水平,企业大致可以分为三个梯队。第一梯队由第一梯队组成。 Synopsys、 Cadence、Siemens EDA 三家国际知名 EDA 企业组成。这类企业遍布世界各地,科研实力雄厚,全过程 EDA 2020年,产品在某些领域处于领先地位 年收入规模达到 10-40 亿美元。第二梯队以 ANSYS、Silvaco、Aldec Inc.、以华大九天为代表,这类企业在特定领域有全过程 EDA 2020年产品在局部领域处于领先地位 年收入规模在 0.5-5 亿 美元区间。第三梯队以 Altium、Concept Engineering、概伦电子,广立微,思尔芯, DownStream Technologies 代表这类企业 EDA 上面的布局主要是点工具,缺乏 EDA 2020年特定领域全流程产品 年收入低于 0.5 亿美元。

三大巨头垄断全球 EDA 市场。根据 ESD Alliance 新思科技与前瞻性产业研究所数据(Synopsys)、楷登电子(Cadence)与西门子 EDA(2016 年收购的 Mentor Graphics) 三大寡头 2020 年全球 EDA 市场营收份额的比例约为 70%。三大巨头是世界上唯一拥有的 计全流程 EDA 其他企业缺乏布局设计全过程工具技术的实力。

其中,Synopsys(直致力于复杂芯片系统(SoCs)的开发。Synopsys 逻辑综合工具 DC(design compiler)及时分析工具 PT(Prime Time)在全球 EDA 市场认 可度较高。Cadence产品涵盖了电子设计的整个过程。全球知名的半导体和电子系统公司 Cadence 软件是其全球设计的标准。Mentor Graphics(明导国际,2016 虽然德国西门子年收购的工具并不全面,但在某些领域,如 PCB(印刷电路板)设计工具等方面有着引人注目的独特性。

全球 EDA 第一厂商 Synopsys(新思科技)。新思科技成立于 1986 年,在 2008 年成 全球收入排名第一 EDA 软件厂商。2020 年新思科技收入为 36.85 归母净利润亿美元 6.63 亿美元,2020 年在全球 EDA 市场收入份额为 32%。新思科技产品线最全面,是世界上唯一一家涵盖从硅生产、芯片测试到设计全过程的公司 EDA 公司的产品优势体现在数字前端、数字后端、验证测试等环节。

曾经的霸主 Cadence(楷登电子)。Cadence 在 1988 年由 SDA 与 ECAD 两家公司合并,Cadence 通过一系列并购,在 1992 年成为 EDA 行业收入第一的霸主,但在 2008 年被 Synopsys 超越,2020 年营收为 26.83 归母净利润亿美元 5.91 亿美元。Cadence 定制电路和地图设计的优点是模拟和混合信号。

Mentor Graphics(明导国际,2016 年被德国西门子收购)。Mentor Graphics 于 1981 年成立,20 世纪 90 在经营困难时期,产品研发落后于行业竞争对手,大量长期客户流失,难以与其他两家公司竞争,直到 1994 公司组织结构大调整后才重新崛起。Mentor Graphics 2016 2016年被西门子收购,相关财务数据不再单独披露。 年营收为 12.82 归母净利润亿美元 1.55 亿美元。Mentor Graphics 物理验证和 PCB 领域优势明显。

2.2. 中国 EDA 市场快速增长,国产化率极低

与国际市场相比,中国 EDA 市场规模增长更快。根据赛迪智库数据,2018年 年,我国 EDA 市场总销售额为 44.9 亿元,而到 2020 年我国 EDA 市场销售已经达到 66.2 亿 元,2 年复合增长率为 21.42%远高于全球市场收入规模 2018-2020 年 2 年 复合增长率9%。

中国 EDA 市场定位率很低,三大巨头仍然垄断。虽然中国 EDA 市场收入的增长率远高于全球增长率,但由于中国 EDA 制造商起步较晚,在产品性能和生态协调方面处于劣势,国内市场份额主要由国外制造商占据。根据赛迪智库和前瞻性产业研究所的数据,2020年 年国际 EDA 三大巨头 Synopsys,Cadence 和 Siemens EDA 我国总收入规模市 场份比例为 78%的国产厂家不到78% 15%,国产化率极低,国产替代空间广阔。

2.3. IP 业务是 EDA 新增长极

计算机辅助工程(CAE)和 IP 为 EDA 市场业务的主要组成部分。EDA 市场业务可分为计算机辅助工程(CAE)、IC 物理设计与验证,PCB 与多芯片模块半导体 IP 核等。根据 ESD Alliance 从细分领域来看,数据,EDA 各细分领域收入占比基本基本 保持稳定,2020 年占据市场规模较大的部分是 CAE 与 IP,两者总比例接近 67%。其中 CAE(Computer Aided Engineering)主要包括电子系统级设计和综合验证、设计输入、逻辑验证、模拟和混合信号模拟器、形式验证、顺序/模拟分析、测试/测试自动化设计。IP(Intellectual Property Core)是芯片设计图中独立功能电路模块的成熟设计。设计师可以成熟 IP 在多个复杂芯片的电路设计图纸中应用模块设计,可避免复杂重复的设计工作,缩短设计周期,提高芯片设计的成功率。IP 业务从 2010 年开始在 EDA 市场收入比例开始上升,直到 2020 年已经达到 35.22%成为收入比例最大的 业务领域。

IP 已成为海外 EDA 公司的重要收入。Synopsys 和 Cadence 的 IP 收入占总收入的比例逐年增加,特别是 Synopsys,2020 年,Synopsys 的 IP 收入占总收入的比例已达到 33%。Synopsys 对于 IP 业务布局更加稳定 EDA 全球市场领先地位。三大 巨头中的 Mentor Graphics 对于 IP 不同 2004 选择选择退出 IP 市场也在一定程度上导致了最终的结果 Siemens 收购结束。

3.从美国EDA强盛之路看EDA工业发展规律

3.1. 政府支持是基石

美国国家科学基金每年提供大量资金支持。美国国家科学基金(NSF)主要负责促 根据 IEEE 美国国家科学基金(NSF)在 1984 年至 2015 年间共 支持了 1190 个与 EDA 强相关研究课题的年投资约为 800 万美元到 1200 万美元。

半导体研究联盟促进企业集中技术创新。除 NSF 另外,半导体研究联盟(SRC)也为美国 EDA 有助于行业的发展。SRC 是世界领先的大学半导体及相关技术研究联盟, 是促进美国半导体共性技术发展的关键力量。其行业合作伙伴包括应用材料公司 AM、格罗方德 GLOBALFOUNDRIES、IBM、英特尔、美光科技、雷神、德州 仪器公司和联合技术公司。SRC 在整合行业资源、聚焦共性的竞争前领域发挥了关键作用 EDA 企业通过 SRC 集中研究资金进行产业共性技术创新。

NSF 与 SRC 帮助企业渡过初期难关。NSF 资助的 EDA 在项目技术成熟度逐步提高后,研究项目主要处于初始阶段,SRC 成为接力者,继续给予支持。EDA 是一个技术密集型行业,前期需要大量的研发投入,业务回报小,需要像 NSF、SRC 支持这样的政府机构。

美国 DARPA 实行 ERI 计划为 EDA 企业继续赋能。美国国防高级研究计划局为了迎接后摩尔定律的挑战(DAPRA)于 2017 电子复兴计划年启动(ERI),在随后 2018- 2023 年内投资约 15 2020年,旨在解决半导体技术的发展瓶颈 美国两党两院建议每年增加一次 20 亿美元用于 ERI 计划。ERI 该计划主要集中在材料与集成、结构与设计三个关键方向,其中设计部分可分为三个关键方向 IDEA 与 POSH 两部分。2018 年 7 月,美国首届 “ERI峰会召开,会议选出 ERI 第一批入围支持项目。其中,Cadence 获得了 IDEA 项目 2410 该项目致力于创建一个无需人工参与的芯片布局规划生成器。Synopsys 获得了 POSH 项目 610 补贴1万美元,该项目旨在实现复杂的开源 SoC 低成本设计。

注重大学研究,建立大学研究中心网络,为大学提供充足的财政支持。2013 年,SRC 公布了 STARnet 美国国防部高级研究计划局计划(DARPA)大学研究中心的投资 网络,跨越 24 个州的 42 大学,计划 2013-2018 年投资六个大学研究中心 1.94 亿 关注下一代微电子技术的美元。STARnet 计划研究的技术可能至少在未来 10-15 年内不会有商业可行性,但会员会产生的 IP 再授权。STARnet 计划 焦点中心研究计划(FCRP)”的延续。2008 年,全国共有 5 个 FCRP 中心,其中 GSRC 和 C2S2 中心与 EDA 这两个中心的项目直接相关 EDA 估计相关资金在 400 万美元到 500 万美元之间。同时在 2018 年 DARPA 发布的 ERI 在第一批资助名单中,IDEA与 POSH 计划为入围大学提供共同约定 6000 万美元。

3.2. 人才、技术和生态是 EDA 行业核心竞争要素

人才是 EDA 发展的核心。EDA 该软件涉及半导体、数学、芯片设计三种知识,需要掌握这三种知识。据中国新思科技副总经理陈志昌先生介绍,培养一个 EDA 人才不容易,从大学课题研究到真正的实践往往需要十年的时间。根据第 23 全球集成电路制造年会披露数据 EDA 只有行业从业人员 4 一万人左右,所以 EDA 人才培养体系非常重要。

以新思科技为例,新思科技注重人才培养,其人才培养战略包括新思科技大学课程体系、新思科技大学计划和积极参与国家人才战略。新思科技开发了一套全套集成电路设计教程,包括 131 本科及研究生课程24门 37门培训课程 本科及硕士研究生适用于集成电路相关专业。从EDA就人才培养成果而言,仅2019年- 2020 年这一年,已有 30000 20人参与了新思科技人才项目 国内高校与新思科技建立了人才培养合作。

持续研发是 EDA 发展的动力。EDA 软件是算法密集型大型工业软件系统,EDA 计算机、物理、数学等知识需要开发。芯片设计的变化越来越快,EDA 软件公司需要不断增加研发投资,以确保其技术术领先。同时,EDA 巨是因为巨头的数量 知识产权保持领先地位。全球三大巨头垄断的格局 2000 2010-2020年后相对稳定 三大巨头年收入年复合增长率接近 但仍保持10% 研发费用率30%-40%,个别年份 份超过 40%。2020 年,Synopsys 和 Cadence 研发费用分别高达 13 亿和 10 亿美元, 几乎是 2020 年中国 EDA 市场销售规模的两倍。

产业链协同是 EDA 保证发展。芯片设计的先进工艺是晶圆厂、设计公司和 EDA 软件制造商共同推广的成果。晶圆厂从材料、化学、工艺等制造步骤寻求工艺突破;EDA 公司利用晶圆厂的测试数据和工艺细节文件进行改进 EDA 软件;芯片设计公司使用新的 EDA 设计和试生产模型,反馈给晶圆厂和 EDA 改进制造工艺和软件模型。晶圆厂、EDA 软件公司和设计公司相辅相成,相互合作,共同推动技术进步。

其中,PDK(Process Design Kit)是沟通 IC 设计公司、OEM和 EDA 制造商的桥梁。具体来说,PDK 芯片设计是一组描述半导体工艺细节的文件 EDA 工具使用。客户 晶圆厂将在投产前使用 PDK,确保晶圆厂能够根据客户设计生产芯片,确保芯片 预期功能和性能。PDK 它包含了反映制造艺基本要素:晶体管、接触孔、互连线等, 包括设计规则文件、电学规则文件、版图层次定义文件SPICE 模拟模型、设备布局和 期间定制参数。完美的产业链使客户 PDK 可以给予 EDA 厂家充分反馈,让厂家根据 PDK 为了满足客户的需求,改进产品。获得更全更新 PDK 也常成头 EDA 厂商 比较优势。

3.3. 并购是 EDA 制造商扩张的重要手段

并购是 EDA 企业成长的最佳选择。世界三大巨头的成长史是并购史,其中 全球 EDA 巨头 Synopsys 自 1986 年成立至 2021 年 4 月,共完成 112 并购 在 EDA 行业如此繁荣的原因有:

1)行业小细分领域很多。根据 ESD Alliance 和 WSTS 数据,2020 年全球 EDA 只有行业市场规模 115 与下游半导体行业相比,1亿美元 4404 亿 美元的市场规模是一个小行业 EDA 为芯片设计生产的整个产业链服务软件,EDA 技术流程长,需要各种点工具相互配合形成工具链,同时,客户希望 EDA 制造商可以提供整体解决方案。2)技术更新迭代速度快。2)技术更新迭代速度快。在摩尔定律的驱动下,芯片更新速度快,新技术不断涌现,作为上游设计软件 EDA 制造商每年都要投入大量的研发资金来适应技术创新,但许多初创公司仍然会创造新的点工具。行业内有很多小细分领域,客户希望 EDA 制造商提供完整的解决方案,因此 EDA 厂家不断想办法完善自己的产业链。然而,随着技术的快速迭代,行业内的小公司不断带来创新点工具。行业小导致自主研发技术成本高,并购是最佳选择。

Cadence 通过并购成为一代霸主。Cadence 于 1989 年收购 Verilog 通过这次并购是最重要的并购 Cadence 芯片性能验证问题的成功解决也标志着复杂性 EDA 从设计领域,扩展到软件模拟和硬件模拟领域,设计和模拟可以通过使用同一公司的不同软件来完成。2001 年 Cadence 收购 Silicon Perspective,将 IC 布局工具和 SI 分析工具收入囊中,技术储备下一代布局布线;2002 年收购 Simplex,补充寄生参数提取和分析能力;同年收购 IBM 真正占领硬件仿真高地的硬件仿真业务。

Synopsys 超越并购 Cadence,铸就全球 EDA 龙头地位。纵观 Synopsys 发展历史不仅通过大量并购改善了公司业务,实现了全过程覆盖,而且通过几次关键并购直接在与剩余两大巨头的竞争中脱颖而出,成为全球 EDA 龙头。根据核心思想数据,2002 年,Synopsys 以 8.3 收购1亿美元 Cadence 专利诉讼结束 Avanti,从而成为 EDA 历史上第一个可以提供完整的顶级前后端 IC 领先的设计方案 EDA 工具商。收购改变了传统的Synopsys 占前端,Cadence 占后端格局,让 Synopsys 在进入到 基石技术的布局在后摩尔定律时代之前就完成了。

4.国产EDA星火可燎原

4.1. 从中外对比看国产 EDA 现状

海外 EDA 更完整的产品矩阵。从 EDA 根据我们的计算,产品矩阵的完整性,EDA 工 具链大约有 40 在细分领域,国内厂商还没有像国际三大厂商那样实现 EDA 覆盖全过程、全细分领域。截至 2021 年 12 月,国产 EDA 龙头华大九天只能实现模拟芯片设计和平板设计的全过程覆盖,覆盖率约为 其他国产40% EDA 制造商的产品大多是点工具,不能为客户提供特定领域的全过程产品服务。

海外 EDA 产品支持技术更先进。从 EDA 从产品技术先进性来看,国际三大巨头生产 最先进的品能支持工艺已经实现 2nm,国内厂家只有一些产品支持先进的工艺。例如,在华大九天模拟设计的全过程工具中,只有一个电路模拟工具支持 5nm 工艺,其余只支持 28nm 工艺,思尔芯 EDA 产品仅支持 10nm 制程。

IP 已成为海外 EDA 公司的重要收入是国内的 EDA 公司尚未大规模布局。EDA 三巨头中的 Synopsys 和 Cadence 同样也是 IP 市场巨头,Synopsys 和 Cadence IP 市场 仅次于世界第二和第三的收入规模份额 ARM。相比之下,国产 EDA 大多数制造商仍在开发中 EDA 工具,没有布局 IP 产品。随着集成电路产业的不断发展,IP 作用会越来越显著,国内外 EDA 公司在 IP 发展差距较大。

海外 EDA 产品先发优势明显,客户粘性高。从 20 世纪 70 软件用于辅助时代 从芯片设计开始,国外 EDA 产业发展近 50 年,技术、生态和客户具有明显的先发优势 使用习惯比较完善。另外,2021 年先进工艺芯片流片成本已达数亿元, EDA 工具选择与流片的成功率有关,客户更换 EDA 当客户使用国家时,工具带来的风险非常高 产 EDA 跑出数据和国际巨头 EDA 当工具不一致时,国内制造商甚至需要解释结果。

国内 EDA 专业人才匮乏,大部分在外资工作 EDA 企业。根据赛迪智库数据, 2020 年我国 EDA 行业从业人员数量约为 4400 人,本土 EDA 企业总人数约为2000 人。虽然相比 2018 年的 700 人有了很大的增长,但与海外相比还是存在的 差距较大。根据第 23 全球集成电路制造年会披露数据 EDA 行业从业 人数在 4 一万人左右,截止日期 2021 年 12 月,仅 Synopsys 员工数量达到 1.5 万 人以上。

我国 EDA 储备人才培养体系不完善。海外 EDA 2015年培训体系相对成熟 年, 美国 SRC 公布了 STARnet 计划在五年内投资六个大学研究中心 1.94 亿美元, 多个项目直接与 EDA 相关。Synopsys 自进入中国以来,已与清华大学、东南大学、 华中科技大学等知名大学合作,为其提供软件支持,建立合作交流中心。目前我国只有 少数高校有 EDA 国内研究方向和人才培养计划 EDA 公司与高校的合作也刚刚开始 一开始,人才培养体系还不完善。

海外半导体产业链的协调更加紧密。EDA 软件不是独立开发的,EDA 与芯片设计厂家和晶圆厂家合作,打磨产品,促进技术进步。海外半导体产业链齐全,包括英伟达、英特尔和 AMD 还有三星、台积电、格罗方德等大型晶圆制造商。合作伙伴本身就是细分轨道的龙头企业,在产业链中起着关键作用,在强大的协调下可以提升 EDA 产品的竞争力。

海外 EDA 并购土壤肥沃。EDA 三大巨头主要通过并购来完善自己的产业链。并购不仅需要资金,还需要高质量的目标群体进行并购。根据 crunch base 数据,2020 年,海外共有 600 多家(美国 200 很多),这为巨头并购提供了丰富的土壤,相比之下,中国只有几十家 EDA 国内企业在一定程度上也制约了国内企业 EDA 产业发展。

4.2. EDA 国产化势在必行

中美科技脱钩,趋势愈演愈烈。美国不仅绕过世界贸易组织,直接对正在崛起的中国征收额外的贸易关税,还针对中国制造 华为、中兴通讯等采取一系列限制措施,均列制裁名单。2019 年 5 月 16 日本,美国商务部宣布将华为和 70 所谓的实体清单包括家庭关联企业。没有美国政府的批准,华为将无法从美国公司购买部件。受此影响,许多外国供应商开始断供华为。美国对中国的压力已经从经贸领域上升到科技领域,高科技成为双方竞争的焦点。EDA 作为半导体皇 冠上的明珠必然受到美国的限制。目前,华为已停止与三大国际巨头的合作,自主研究 发 EDA 势在必行。

华为四度落子国产 EDA 可见企业的重要性。 2020 年 12 自1月份以来,华为的哈勃已经投资了四家国内公司 EDA 包括全射频在内的公司Murata代理流程工具提供商九同方微电子、专注于工业设计和模拟的无锡飞谱电子、专注于逻辑综合和物理设计的立芯软件以及专注于数字前端验证的阿卡斯威,都是国内领先的细分工具领域 EDA 厂商。

4.3. 三十年发展,EDA 点亮国产之火

国产 EDA 经过30多年的艰苦发展,迎来了政策和资本支持。EDA 从国产道路开始 20 世纪 80 年代,20 世纪 90 年代初,中国历史上第一个拥有自主知识产权的人 EDA 工具熊猫诞生,并获得多项国际奖项。但随后国外 EDA 在造不如买的思潮下,国产厂商进入中国 EDA 这个行业已经沉默了十多年。直到 2008 年度国家核高基项目 EDA 其中,国产 EDA 产业才重新焕发活力。与此同时,ZTE和华为事件使人们意识到关键基础 资本市场也开始关注基础技术的重要性 EDA 行业。根据核心思想研究所的数据,2020年 年 EDA 行业融资次数已达到 16 次,远超 2010 年的 1 次。

国产 EDA 行业逐渐壮大,星火已燎原。在国家政策和资本的双重支持下, 产 EDA 厂家数量不断增加。根据核心思想研究所的数据,2020年 国内已有约 49 家 EDA 截至 2021 年 12 月 30 日,国内已有 4 家企业申请 IPO,其中,概伦电子已上市。这些国产 EDA 厂商 从各个细分领域取得技术突破,其中华大九天可以提供模拟芯片设计的全过程 EDA 产品。根据赛迪智库数据,2018-2020年 年中国 EDA 市场营收的国产化份额逐渐由 6%提升 至 11%,国产化步伐逐渐加快,星火燎原。


芯片采购网|IC采购|IC代理商 - 国内专业的芯片采购平台
芯片采购网专注整合国内外授权IC代理商的现货资源,轻松采购IC芯片,是国内专业的芯片采购平台