芯片采购,IC采购,芯片采购平台
芯片
每日新闻头条
美国实施EDA中国可能会错过下一代芯片技术
(2024年6月29日更新)美国试图锁定下一代中国芯片技术

请注意,下一代是下一代!EDA软件无关,这个EDA只针对软件的禁令GAA目前,只有三星在三星使用这个过程nm在芯片生产方面,如果没有意外,台积电今年也将批量生产同一代芯片。

芯片采购网专注于整合国内外授权IC代理商现货资源,芯片库存实时查询,行业价格合理,采购方便IC芯片,国内专业芯片采购平台

目前,中国最先进的技术来自中芯国际。不久前,中芯被发现秘密DUV7.光刻机实现nm工艺量产。但即使进步如此之快,中芯国际与三星、台积电之间至少有一个7nm (EUV版的7nm工艺)、5nm对中芯来说,两代,GAA至少是下一代使用的,目前中芯连7nm 没有可能,因为目前已经实现了7nm已经是DUV光刻机的极限需要进一步发展EUV现在根本买不到光刻机。

所以现在最头疼的是:第一,从哪里来?EUV光刻机!第二,有EUV光刻机之后,怎样才能快速好省地研发和量产7nm 和后续的5nm工艺。至于设计3nm的GAA架构芯片的EDA软件——虱子多了不痒,债多了不愁,至少近几年,似乎不是最重要的事情。

什么是GAA?

GAAFET是替代目前FinFET下一代技术路线

GGA的全称是Gate all around Field Effect Transistors(简称GAAFET),中文全称全环栅晶体管,可延续半导体技术经典摩尔定律的新兴技术路线,进一步能力,克服当前技术的物理缩放比例和性能限制。

各种晶体管结构体管结构的示意图GAAFET整个外轮廓都被栅极完全包裹着

GAA可提供工艺比FinFET静电特性更好,满足某些栅极宽度的要求。在相同尺寸的结构下,GAA增强沟通控制能力,为进一步微缩尺寸提供可能;传统FinFET沟的三面被栅极包围,GAA纳米线沟设计的整个外轮廓被栅极完全包裹,这意味着栅极对沟的控制性能更好。专家说:和FinFET除了具有更好的网极控制能力外,GAA堆叠的纳米线也有更高的有效沟通宽度,可以提供更高的性能。”

6月30日,三星率先宣布基于3nm与三星5相比,芯片已经开始初步生产nm工艺,3nm芯片性能提高23%,功耗降低45%,芯片面积降低16%。

研发3nm在工艺过程中,需要EDA供应商深度合作

三星和和试产过程中,三星和全球三大EDA新思科技是软件供应商(Synopsys)深度合作,加速GAA 提供高度优化的参考方法。因3nm不同于台积电或英特尔FinFET 架构的GAA 架构需要新的设计和认证工具,因此采用了新思科技Fusion Design Platform。三星代工设计技术团队副总裁表示,支持新思科技至关重要。

用来实现GAA新思科技的架构EDA软件中的物理设计套件(PDK)已于2019年5月发布,2020 年度工艺技术认证。

“ANSYS与三星合作,使用3nm GAA技术继续支持最先进的设计。Ansys多物理场仿真平台的签名精度,保证了我们与行业前沿三星晶圆OEM的持续合作伙伴关系。——EDA服务商ANSYS
楷登电子与三星晶圆OEM密切合作,让客户通过使用我们的数字解决方案实现3纳米(nm)GAA技术节点的最佳功率、性能和尺度Cypress代理寸。所有这些都是基于数据描述到全数字流程实施和签名的Cadence Cerebrus AI技术驱动,最大限度地提高生产率。通过定制解决方案,我们与三星共同使用并验证了完整性AMS通过自动化布局,提高了电路设计和模拟的生产效率。我们期待着继续以这种合作取得更大的成功。”——EDA服务提供商楷登电子
“西门子EDA我很高兴通过与三星的合作,确保我们现有的软件平台也能在三星的新3纳米(nm)在工艺节点上运行。SAFE西门子行业领先的3纳米计划EDA工具已认证——EDA西门子服务商EDA
通过与三星OEM部门的长期战略合作,我们的解决方案可以支持三星的先进技术,并帮助我们的共同客户加快他们的设计周期。现在通过新思科技的数字设计、模拟设计和IP继续扩大三星产品的使用GAA架构的3 nm工艺支持使客户能够为关键的高性能计算应用程序提供差异化SoC。”——EDA服务提供商新思科技

现在,以上四家公司有能力提供它们GAA技术EDA所有设计软件的人都不能向中国提供相关产品。

台积电态度:3nm仍然坚守FinFET

对台积电而言,GAAFET仍然只是未来的发展路线。FinFET台积电的技术潜力尚未充分发挥,目前台积电研发顺利nm该工艺仍将成熟FinFET技术,预计2nm在工艺过程中,只会引入台积电GAAFET。

台积电业务发展副总裁张晓强认为,台积电将继续采用FinFET架构开发3纳米工艺是帮助客户成功的最佳方案。预计3纳米效率将比5纳米提高10%至15%,功耗将降低25%至30%,逻辑密度将提高1.7倍。SRAM密度增加1.2倍,类比密度增加1.1倍。

预计台积电将在2nm转向工艺节点GAA全新的架构MBCFET(多桥-通道场效应晶体管)架构GAA基于工艺,可以解决FinFET由于工艺微缩,电流控制漏电等物理极限问题。因此2nm或将是FinFET全面过渡到结构GAA技术节点的结构。在经历了Planar FET,FinFET之后,晶体管结构将整体过渡到GAAFET结构上。

如果美国扩大出口管制,被认为是下一代,或者至少是下一个芯片开发设计软件,中国将遭遇灾难性的挫折。

该技术需要专业化EDA中国在这一领域落后于全球同行。工程师需要这样的软件来设计集成电路(IC) ,而且市场是楷登电子的Cadence、新思科技(Synopsys)和西门子 EDA这些公司都位于西方。

英伟达(Nvidia)芯片设计专业人士说:与全球同行相比,中国在 EDA 软件差距很大。Synopsys 和 Cadence 在这一领域建立专业知识至少需要30年的时间。(中国)短期内赶上的可能性很小。

由于美国对尖端设备的出口控制,中国没有OEM工厂能够开发出5纳米以下的制造技术,约3000家集成电路设计公司仍然严重依赖进口EDA设计非软件GAA芯片的EDA该软件将来也会受到禁令的影响吗?没有人能回答这个问题。

国内的EDA软件厂商行吗?

目前,国家正在努力减少对海外采购半导体设计软件和设备的依赖,国内EDA该行业一直在蓬勃发展。数十家公司一直在寻求取代进口系统,投资者也投入了大量资金,希望政府的支持能帮助该行业蓬勃发展。中国EDA自上周以来,供应商帝天科技一直在深圳IPO它的股价已经上涨了两倍。2020年,帝天科技在中国EDA市场份额约为6%。

在非上市的EDA公司中,X-Epic是中国EDA行业中冉冉升起的新星。最近,该公司被南京评为17家有前途的初创企业之一。该公司成立了加快研究机构EDA 2.0技术突破,国内发展EDA生态系统。根据 PitchBook截至今年1月,该公司已筹集1.217亿美元,其投资者包括中国国家开发银行和红杉资本中国。

一份报告显示,2020年EDA全球市场规模估计为91亿美元。预计到2026年将增长64%,达到149亿美元。


芯片采购网|IC采购|IC代理商 - 国内专业的芯片采购平台
芯片采购网专注整合国内外授权IC代理商的现货资源,轻松采购IC芯片,是国内专业的芯片采购平台