芯片采购,IC采购,芯片采购平台
芯片
每日新闻头条
泛林集团、Entegris 和 Gelest 携手推进 EUV 生态系统干膜光刻胶技术
(2024年6月29日更新)

泛林集团 (NASDAQ: LRCX)、Entegris, Inc. 三菱化学集团旗下公司 Gelest, Inc, 最近宣布战略合作,为下一代半导体生产所需的泛林突破性极紫外线提供可靠的前体化学品 (EUV)干膜光刻胶创新技术。三方将与未来几代逻辑合作 DRAM 用于设备生产 EUV 研发干膜光刻胶技术将有助于实现从机器学习和人工智能到移动设备的所有这些技术。

芯片采购网专注于整合国内外授权IC代理商现货资源,芯片库存实时查询,行业价格合理,采购方便IC芯片,国内专业芯片采购平台

工艺化学品供应链强大 EUV 干膜光刻胶技术在大规模生产中的应用至关重要。这种新的长期合作将进一步扩大干膜光刻胶技术不断发展的生态系统,由半导体材料领导者提供双源供应,以确保世界各市场的可持续供应。

此外,泛林,Entegris 和 Gelest 加快未来高数值孔径(高数值孔径)的发展 NA)EUV 高性价比的图形化 EUV 干膜光刻胶解决方案。高 NA EUV 它被广泛认为是未来几十年半导体器件持续微缩和开发所需的图形化技术。干膜光刻胶可实现高耐蚀性、沉积和显影所需的可调厚度比,支持高耐蚀性 NA EUV 降低焦深要求。

泛林集团执行副总裁兼首席技术官 Rick Gottscho 表示:干膜光刻胶技术的结合 EUV 为将来 DRAM 逻辑的发展扫清了最大的障碍。本次合作将泛林在干膜光刻胶技术领域的专业知识、尖端解决方案和材料科学能力与两位前体化学行业领导者带来的可靠供应渠道相结合。该干膜光刻胶生态系统的重要扩展为该技术的创新和量产铺平了道路。”

干膜光刻胶最初是泛林和 ASML 和 IMEC合作开发,改进 EUV 从而解决了光刻的分辨率、生产率和良率 DRAM 与逻辑技术相关的关键挑战。该技术提供了优异的剂量-尺寸比和剂量-缺陷率性能,从而提高了 EUV 扫描仪的NXP代理生产率和持有成本降低。此外,与传统的防腐剂工艺相比,泛林干膜光刻胶工艺消耗的能源较少,原材料消耗量比以前少5-10倍,为可持续发展提供了关键优势。

Entegris首席执行官 Bertrand Loy 泛林干膜光刻胶技术反映了材料层面的关键创新,并提供了更好的分辨率、更高的成本效益和有吸引力的可持续发展效益等诸多优势。我们很自豪能够参与这项创新合作,加快干膜光刻胶技术的应用,成为客户可靠的工艺材料供应商,利用这项重要技术创造下一代半导体。”

三菱化学集团旗下 Gelest 总裁 Jonathan Goff 说:我们和泛林和 Entegris 合作开发 EUV 光刻所用的干膜光刻胶表明我们致力于支持芯片制造商在材料科学领域的创新。这几年我们已经看到了 EUV 为了扩大其潜力,我们很高兴成为不断发展的生态系统的一部分。”

芯片采购网|IC采购|IC代理商 - 国内专业的芯片采购平台
芯片采购网专注整合国内外授权IC代理商的现货资源,轻松采购IC芯片,是国内专业的芯片采购平台